CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 数字钟 VHDL

搜索资源列表

  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3297
    • 提供者:张廷
  1. VHDLclock

    0下载:
  2. 这是用VHDL语言编写的数字钟。可以设置时分秒,还可以整点报时。-This is the VHDL language with the digital clock. When every minute can be set, but also the entire point of time.
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:1303
    • 提供者:Henry
  1. shuzizhong

    0下载:
  2. 该数字钟可以实现3个功能:计时功能、整点报时功能和重置时间功能-The digital clock can achieve three functions: timing function, reset the whole hour and time functions
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:2850
    • 提供者:唐慧
  1. zs_clock

    0下载:
  2. 基于VHDL语言设计的电子钟,综合运用EDA技术,完成一个多功能数字钟设计-VHDL language design based on the electronic clock, integrated use of EDA techniques to complete the design of a multi-functional digital clock
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:79268
    • 提供者:zs
  1. FPGA

    0下载:
  2. 数字钟,实验程序描述,vhdl语言描述,看电视剧广发卡三季度发卡了-Digital clock, experimental procedures described, vhdl language descr iption, watching TV wide hairpin hairpin three quarters of the
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:460496
    • 提供者:杨宇雷
  1. gcounter1

    0下载:
  2. 数字钟vhdl实现,在线测试无误,具有闹钟,对表功能-Digital clock vhdl implementation, online testing is correct, with alarm, the table function
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:239871
    • 提供者:li
  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
  1. cpld

    0下载:
  2. CPLD与电子CAD报告 VHDL中的并行语句、进程 信号、变量、顺序语句 分频器、计数器、译码器、状态机 数字钟综合设计-CPLD and VHDL electronic CAD report in parallel statement, the process signals, variables, sequential statements divider, counter, decoder, an integrated digital clock state machine des
  3. 所属分类:Communication

    • 发布日期:2017-05-15
    • 文件大小:3920331
    • 提供者:何源
  1. VHDL_doc

    0下载:
  2. VHDL入门的程序,包括数码管显示,交通灯的实现,多功能数字钟,数字频率计等-VHDL entry procedures, including digital display, realize traffic lights, multifunction digital clock, digital frequency meter, etc.
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:155252
    • 提供者:钟绵
  1. EDA实验程序

    0下载:
  2. VHDL语言编写简单EDA实验程序,如数字钟,,译码器,,动态扫描数码管(VHDL language, simple EDA experimental procedures)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-18
    • 文件大小:15360
    • 提供者:折叠时光
搜珍网 www.dssz.com